On-chip communication network for efficient training of deep convolutional networks on heterogeneous manycore systems W Choi, K Duraisamy, RG Kim, JR Doppa, PP Pande, D Marculescu, ... IEEE Transactions on Computers 67 (5), 672-686, 2017 | 93 | 2017 |
Learning-based application-agnostic 3D NoC design for heterogeneous manycore systems BK Joardar, RG Kim, JR Doppa, PP Pande, D Marculescu, R Marculescu IEEE Transactions on Computers 68 (6), 852-866, 2018 | 79 | 2018 |
Imitation learning for dynamic VFI control in large-scale manycore systems RG Kim, W Choi, Z Chen, JR Doppa, PP Pande, D Marculescu, ... IEEE Transactions on Very Large Scale Integration (VLSI) Systems 25 (9 …, 2017 | 77 | 2017 |
A Sub-cm3Energy-Harvesting Stacked Wireless Sensor Node Featuring a Near-Threshold Voltage IA-32 Microcontroller in 14-nm Tri-Gate CMOS for Always-ON … S Paul, V Honkote, RG Kim, T Majumder, PA Aseron, V Grossnickle, ... IEEE Journal of Solid-State Circuits 52 (4), 961-971, 2017 | 65 | 2017 |
Machine learning for design space exploration and optimization of manycore systems RG Kim, JR Doppa, PP Pande 2018 IEEE/ACM International Conference on Computer-Aided Design (ICCAD), 1-6, 2018 | 60 | 2018 |
Design space exploration for wireless NoCs incorporating irregular network routing P Wettin, R Kim, J Murray, X Yu, PP Pande, A Ganguly, D Heoamlan IEEE Transactions on Computer-Aided Design of Integrated Circuits and …, 2014 | 60 | 2014 |
Wireless NoC and Dynamic VFI Codesign: Energy Efficiency Without Performance Penalty RG Kim, W Choi, Z Chen, PP Pande, D Marculescu, R Marculescu IEEE Transactions on Very Large Scale Integration (VLSI) Systems 24 (7 …, 2016 | 56 | 2016 |
Hybrid network-on-chip architectures for accelerating deep learning kernels on heterogeneous manycore platforms W Choi, K Duraisamy, RG Kim, JR Doppa, PP Pande, R Marculescu, ... Proceedings of the international conference on compilers, architectures and …, 2016 | 51 | 2016 |
Wireless NoC for VFI-enabled multicore chip design: Performance evaluation and design trade-offs RG Kim, W Choi, G Liu, E Mohandesi, PP Pande, D Marculescu, ... IEEE Transactions on Computers 65 (4), 1323-1336, 2015 | 47 | 2015 |
Enhancing performance of wireless NoCs with distributed MAC protocols K Duraisamy, RG Kim, PP Pande Sixteenth International Symposium on Quality Electronic Design, 406-411, 2015 | 40 | 2015 |
Machine learning and manycore systems design: A serendipitous symbiosis RG Kim, JR Doppa, PP Pande, D Marculescu, R Marculescu Computer 51 (7), 66-77, 2018 | 39 | 2018 |
Performance evaluation of wireless NoCs in presence of irregular network routing strategies P Wettin, J Murray, R Kim, X Yu, PP Pande, D Heo 2014 Design, Automation & Test in Europe Conference & Exhibition (DATE), 1-6, 2014 | 34 | 2014 |
An energy harvesting wireless sensor node for IoT systems featuring a near-threshold voltage IA-32 microcontroller in 14nm tri-gate CMOS S Paul, V Honkote, R Kim, T Majumder, P Aseron, V Grossnickle, ... 2016 IEEE Symposium on VLSI Circuits (VLSI-Circuits), 1-2, 2016 | 27 | 2016 |
A survey of resource management for processing-in-memory and near-memory processing architectures K Khan, S Pasricha, RG Kim Journal of Low Power Electronics and Applications 10 (4), 30, 2020 | 23 | 2020 |
3D NoC-enabled heterogeneous manycore architectures for accelerating CNN training: Performance and thermal trade-offs BK Joardar, W Choi, RG Kim, JR Doppa, PP Pande, D Marculescu, ... Proceedings of the Eleventh IEEE/ACM International Symposium on Networks-on …, 2017 | 19 | 2017 |
Energy efficient MapReduce with VFI-enabled multicore platforms K Duraisamy, RG Kim, W Choi, G Liu, PP Pande, R Marculescu, ... Proceedings of the 52nd Annual Design Automation Conference, 1-6, 2015 | 19 | 2015 |
Performance evaluation of congestion-aware routing with DVFS on a millimeter-wave small-world wireless NoC J Murray, R Kim, P Wettin, PP Pande, B Shirazi ACM Journal on Emerging Technologies in Computing Systems (JETC) 11 (2), 1-22, 2014 | 19 | 2014 |
Inter-tier process-variation-aware monolithic 3-D NoC design space exploration S Musavvir, A Chatterjee, RG Kim, DH Kim, PP Pande IEEE Transactions on very large scale integration (VLSI) systems 28 (3), 686-699, 2019 | 16 | 2019 |
HeM3D: Heterogeneous Manycore Architecture Based on Monolithic 3D Vertical Integration AI Arka, BK Joardar, RG Kim, DH Kim, JR Doppa, PP Pande ACM Transactions on Design Automation of Electronic Systems (TODAES) 26 (2 …, 2021 | 13 | 2021 |
Design and optimization of heterogeneous manycore systems enabled by emerging interconnect technologies: Promises and challenges BK Joardar, RG Kim, JR Doppa, PP Pande 2019 Design, Automation & Test in Europe Conference & Exhibition (DATE), 138-143, 2019 | 13 | 2019 |