Follow
Wenjian Yu
Wenjian Yu
Dept. Computer Science & Technology, Tsinghua University
Verified email at tsinghua.edu.cn - Homepage
Title
Cited by
Cited by
Year
Stretchable and highly sensitive graphene-on-polymer strain sensors
X Li, R Zhang, W Yu, K Wang, J Wei, D Wu, A Cao, Z Li, Y Cheng, ...
Scientific reports 2 (1), 1-6, 2012
6572012
Ultra-sensitive graphene strain sensor for sound signal acquisition and recognition
Y Wang, T Yang, J Lao, R Zhang, Y Zhang, M Zhu, X Li, X Zang, K Wang, ...
Nano Research 8, 1627-1636, 2015
1652015
RWCap: A floating random walk solver for 3-D capacitance extraction of very-large-scale integration interconnects
W Yu, H Zhuang, C Zhang, G Hu, Z Liu
IEEE Transactions on Computer-Aided Design of Integrated Circuits and …, 2013
1092013
Efficient randomized algorithms for the fixed-precision low-rank matrix approximation
W Yu, Y Gu, Y Li
SIAM Journal on Matrix Analysis and Applications 39 (3), 1339-1359, 2018
80*2018
Fast capacitance extraction of actual 3-D VLSI interconnects using quasi-multiple medium accelerated BEM
W Yu, Z Wang, J Gu
IEEE Transactions on Microwave Theory and Techniques 51 (1), 109-119, 2003
792003
Advanced field-solver techniques for RC extraction of integrated circuits
W Yu, X Wang
Springer, 2014
712014
Demand-side management of domestic electric water heaters using approximate dynamic programming
K Al-Jabery, Z Xu, W Yu, DC Wunsch, J Xiong, Y Shi
IEEE Transactions on Computer-Aided Design of Integrated Circuits and …, 2016
692016
Enhanced QMM-BEM solver for three-dimensional multiple-dielectric capacitance extraction within the finite domain
W Yu, Z Wang
Microwave Theory and Techniques, IEEE Transactions on 52 (2), 560-566, 2004
622004
Fast and Accurate Tensor Completion with Total Variation Regularized Tensor Trains
CY Ko, K Batselier, W Yu, N Wong
IEEE Transactions on Image Processing, 2020
59*2020
Faster matrix completion using randomized SVD
X Feng, W Yu, Y Li
2018 IEEE 30th International conference on tools with artificial …, 2018
59*2018
Efficient 3-D extraction of interconnect capacitance considering floating metal fills with boundary element method
W Yu, M Zhang, Z Wang
IEEE Transactions on Computer-Aided Design of Integrated Circuits and …, 2006
522006
Efficient and accurate eye diagram prediction for high speed signaling
R Shi, W Yu, Y Zhu, CK Cheng, ES Kuh
2008 IEEE/ACM International Conference on Computer-Aided Design, 655-661, 2008
512008
Single-pass PCA of large high-dimensional data
W Yu, Y Gu, J Li, S Liu, Y Li
2017 The 26th International Joint Conference on Artificial Intelligence (IJCAI), 2017
502017
Efficient space management techniques for large-scale interconnect capacitance extraction with floating random walks
C Zhang, W Yu
IEEE Transactions on Computer-Aided Design of Integrated Circuits and …, 2013
502013
Hierarchical block boundary-element method (HBBEM): a fast field solver for 3-D capacitance extraction
T Lu, Z Wang, W Yu
IEEE transactions on microwave theory and techniques 52 (1), 10-19, 2004
452004
Efficient thermal via planning approach and its application in 3-D floorplanning
Z Li, X Hong, Q Zhou, S Zeng, J Bian, W Yu, HH Yang, V Pitchumani, ...
IEEE Transactions on Computer-Aided Design of Integrated Circuits and …, 2007
402007
Fast random walk based capacitance extraction for the 3-D IC structures with cylindrical inter-tier-vias
C Zhang, W Yu, Q Wang, Y Shi
IEEE Transactions on Computer-Aided Design of Integrated Circuits and …, 2015
36*2015
Efficient techniques for the capacitance extraction of chip-scale VLSI interconnects using floating random walk algorithm
C Zhang, W Yu
2014 19th Asia and South Pacific Design Automation Conference (ASP-DAC), 756-761, 2014
352014
GPU-friendly floating random walk algorithm for capacitance extraction of VLSI interconnects
K Zhai, W Yu, H Zhuang
2013 Design, Automation & Test in Europe Conference & Exhibition (DATE …, 2013
352013
The distance measure for line spectrum pairs applied to speech recognition.
F Zheng, Z Song, L Li, W Yu, F Zheng, W Wu
ICSLP, 1998
331998
The system can't perform the operation now. Try again later.
Articles 1–20